2 research outputs found

    FPGA Side Channel Attacks without Physical Access

    No full text
    International audienceAs FPGA use becomes more diverse, the shareduse of these devices becomes a security concern. Multi-tenantFPGAs that contain circuits from multiple independent sourcesor users will soon be prevalent in cloud and embedded computingenvironments. The recent discovery of a new attack vector usingneighboring long wires in Xilinx SRAM FPGAs presents thepossibility of covert information leakage from an unsuspectinguser’s circuit. The work described in this paper makes twocontributions that dramatically extend this finding. First, werigorously evaluate several Intel SRAM FPGAs and confirmthat long wire information leakage is also prevalent in thesedevices. Second, we present the first successful attack on anunsuspecting circuit in an FPGA using information passively obtainedfrom neighboring long-lines. Information obtained from asingle AES S-box input wire combined with analysis of encryptedoutput is used to rapidly expose an AES key. This attack isperformed remotely without modifying the victim circuit, usingelectromagnetic probes or power measurements, or modifying theFPGA in any way. We show that our approach is effective forthree different FPGA devices. Our results demonstrate that theattack can recover encryption keys from AES circuits runningat 10MHz, and has the capability to scale to much higherfrequencies
    corecore